<![CDATA[ Endless save/save loop - Need a solution defined - My Forums]]> https://forums.modx.com/thread/?thread=77109 <![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-555392
The problem was plugin "TinyMCE 4.3.4". After the change "TinyMCE" to "TinyMCE Rich Text Editor 1.2.0-pl" i got no problems more.]]>
joe-petts Nov 21, 2017, 09:51 AM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-555392
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-549048
Possibly there's something mod_security doesn't like in the BaseTemplate.]]>
BobRay Feb 28, 2017, 07:49 PM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-549048
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-549046 https://blacknight.blog/next-generation-shared-hosting.html) shared hosting package have loads of issues with saving, resulting in that endless loop when you want to save a new resource or make any changes. It makes it pretty much unworkable.

I have made a blank test install - no extras or anything. A simple, blank install with just the Base Template and I will get the endless saving loop if I try to save a new resource. If I refresh the page, the resource is saved. However, if I then try to change the template, e.g. to (empty), I get the loop again but this time on refresh get an error message and the template change has not been saved:

Code: 0 communication failure
undefined

Quick Update does let me change and save a template without any issues. However, neither of the two workarounds are acceptable to pass on to clients!

A MODX site installed on their standard, older shared hosting package on the other hand works perfectly fine (they don't even sell that anymore but I still have an old account with access to it).

The issue for me is that the NG hosting is preferable as it is a more stable platform and offers site isolation, preventing sites from being affected by traffic surges on other sites. It has made a great speed difference for us on non-MODX sites so we obviously would like them all hosted here. Anyway, for now I have no idea what might be causing the problem on the NG hosting but any suggestions are welcome or advice about what questions I should ask the hosting company to help identify it. Thanks!!]]>
intandem Feb 28, 2017, 07:29 PM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-549046
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-548808
If that's not it, one possible solution is to give your client all the permissions in the relevant Policy. If that fixes it, you can start taking them away, one at a time, to find out which permission is causing the problem.]]>
BobRay Feb 16, 2017, 10:14 PM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-548808
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-548752
cheers smiley]]>
Egam Feb 14, 2017, 05:31 PM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-548752
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-508413 alchemy Sep 09, 2014, 03:43 PM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-508413 <![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-508363
It replicates on different browsers and in two different installs (2.3.1 trad) on the same server. Checking the WHM panel I'm not seeing Mod_Security installed.

A thread here:- http://forums.modx.com/thread/93051/create-weblink-not-working#dis-post-508305

A really need to get some stand alone php\js pages linked into the modx menu's and a weblink seemed the easiest way (unless anyone can suggest a work around?)

Edit - it seems that I'm now unable save changes in snippets so the issue is wider than I expected sad



]]>
alchemy Sep 09, 2014, 05:58 AM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=3#dis-post-508363
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=2#dis-post-469688
There's some more information here: http://rtfm.modx.com/display/revolution20/Installation+on+a+server+running+ModSecurity]]>
BobRay Jun 21, 2013, 10:27 PM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=2#dis-post-469688
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=2#dis-post-469650 https://domain.com/~user/manager). This solved the problem for me; hope this helps someone else.

Also see http://wiki.modxcms.com/index.php/What_is_mod_security_and_how_does_it_affect_me. This information may be outdated however, since the .htaccess solution did not work for me and in fact caused a 500 error.]]>
MrFussyfont Jun 21, 2013, 01:34 PM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=2#dis-post-469650
<![CDATA[Re: Endless save/save loop - Need a solution defined]]> https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=2#dis-post-469625
]]>
BobRay Jun 21, 2013, 06:50 AM https://forums.modx.com/thread/77109/endless-save-save-loop---need-a-solution-defined?page=2#dis-post-469625